Sun, 19 May 2024 16:16:12 UTC | login

Information for RPM trellis-1.2.1-10.20220821git98e0ea3.fc37.riscv64.rpm

ID814472
Nametrellis
Version1.2.1
Release10.20220821git98e0ea3.fc37
Epoch
Archriscv64
SummaryLattice ECP5 FPGA bitstream creation/analysis/programming tools
DescriptionProject Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis provides the device database and tools for bitstream creation.
Build Time2022-09-26 13:22:52 GMT
Size727.83 KB
74efbd09bbaf5ef44e37f92e15dbea97
LicenseISC
Buildrootf37-build-640040-71364
Provides
libtrellis.so()(64bit)
trellis = 1.2.1-10.20220821git98e0ea3.fc37
trellis(riscv-64) = 1.2.1-10.20220821git98e0ea3.fc37
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libboost_filesystem.so.1.78.0()(64bit)
libboost_program_options.so.1.78.0()(64bit)
libboost_thread.so.1.78.0()(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libc.so.6(GLIBC_2.32)(64bit)
libc.so.6(GLIBC_2.34)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.14)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.29)(64bit)
libstdc++.so.6(GLIBCXX_3.4.30)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
libtrellis.so()(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
trellis-data = 1.2.1-10.20220821git98e0ea3.fc37
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
<<< 51 through 100 of 151 >>>
Name Size ascending sort
/usr/share/trellis/misc/openocd/ulx3s_85k.cfg330.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/top.v347.00 B
/usr/share/trellis/misc/openocd/trellisboard.cfg366.00 B
/usr/share/trellis/misc/basecfgs/empty_machxo2-1200hc.config370.00 B
/usr/share/doc/trellis/examples/tinyfpga_rev2/Makefile377.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc_tb.v385.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/io_wrapper.v387.00 B
/usr/share/trellis/misc/openocd/ecp5-evn.cfg389.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/picorv32_versa5g/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/attosoc_tb.v395.00 B
/usr/share/doc/trellis/examples/soc_versa5g/attosoc_tb.v395.00 B
/usr/share/doc/trellis/examples/tinyfpga_rev1/blinky.v401.00 B
/usr/share/doc/trellis/examples/tinyfpga_ax/blinky.v404.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/README.md463.00 B
/usr/share/doc/trellis/examples/ecp5_evn/Makefile485.00 B
/usr/share/trellis/misc/openocd/ecp5-versa.cfg509.00 B
/usr/share/trellis/misc/openocd/ecp5-versa5g.cfg514.00 B
/usr/share/man/man1/ecpunpack.1.gz533.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/firmware.s543.00 B
/usr/share/doc/trellis/examples/picorv32_versa5g/firmware.s543.00 B
/usr/share/man/man1/ecpmulti.1.gz547.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_versa5g/sections.lds549.00 B
/usr/share/doc/trellis/examples/tinyfpga_rev2/morse.v568.00 B
/usr/share/man/man1/ecpbram.1.gz584.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/firmware.c589.00 B
/usr/share/doc/trellis/examples/soc_versa5g/firmware.c616.00 B
/usr/share/doc/trellis/examples/ulx3s_12k_multiboot/ulx3s.lpf626.00 B
/usr/share/doc/trellis/examples/ulx3s/Makefile644.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/Makefile670.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/makehex.py670.00 B
/usr/share/doc/trellis/examples/soc_versa5g/makehex.py670.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/ulx3s_12k_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/versa5g/Makefile672.00 B
/usr/share/doc/trellis/examples/versa5g/demo.v681.00 B
/usr/share/doc/trellis/examples/ecp5_evn/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/start.s706.00 B
/usr/share/doc/trellis/examples/soc_versa5g/start.s706.00 B
/usr/share/doc/trellis/examples/ulx3s_12k_multiboot/Makefile736.00 B
/usr/share/man/man1/ecppll.1.gz737.00 B
/usr/share/man/man1/ecppack.1.gz762.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/pll.v817.00 B
/usr/share/doc/trellis/examples/soc_versa5g/pll.v817.00 B
/usr/share/doc/trellis/examples/tinyfpga_rev1/morse.v817.00 B
/usr/share/doc/trellis/examples/soc_ecp5_evn/ecp5evn.lpf857.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/Makefile869.00 B
Component of
1 through 1 of 1
Buildroot descending sort Created State
f37-build-671027-75126 2022-11-10 07:42:31 expired