Sun, 19 May 2024 04:46:51 UTC | login

Information for RPM trellis-1.2.1-18.20230511gitf1e5710.fc38.riscv64.rpm

ID1020680
Nametrellis
Version1.2.1
Release18.20230511gitf1e5710.fc38
Epoch
Archriscv64
SummaryLattice ECP5 FPGA bitstream creation/analysis/programming tools
DescriptionProject Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis provides the device database and tools for bitstream creation.
Build Time2023-06-06 14:53:14 GMT
Size765.00 KB
7275829d42882f2539aa6237958cc0d8
LicenseISC
Buildrootf38-build-720294-97422
Provides
libtrellis.so()(64bit)
trellis = 1.2.1-18.20230511gitf1e5710.fc38
trellis(riscv-64) = 1.2.1-18.20230511gitf1e5710.fc38
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libboost_filesystem.so.1.78.0()(64bit)
libboost_program_options.so.1.78.0()(64bit)
libboost_thread.so.1.78.0()(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libc.so.6(GLIBC_2.32)(64bit)
libc.so.6(GLIBC_2.34)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.14)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.29)(64bit)
libstdc++.so.6(GLIBCXX_3.4.30)(64bit)
libstdc++.so.6(GLIBCXX_3.4.32)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
libtrellis.so()(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
trellis-data = 1.2.1-18.20230511gitf1e5710.fc38
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 154 >>>
Name ascending sort Size
/usr/bin/ecpbram127.96 KB
/usr/bin/ecpmulti136.27 KB
/usr/bin/ecppack140.47 KB
/usr/bin/ecppll115.54 KB
/usr/bin/ecpunpack86.36 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/0b0.00 B
/usr/lib/.build-id/0b/3a650f4ad4932632d1a65fa6c6d7b2a31686e628.00 B
/usr/lib/.build-id/1e0.00 B
/usr/lib/.build-id/1e/e46004c9a7f67b1dd5b523c423adf83ef3e20943.00 B
/usr/lib/.build-id/380.00 B
/usr/lib/.build-id/38/05117b2e1eb5314b9f060fc246cd0defd1137d26.00 B
/usr/lib/.build-id/3b0.00 B
/usr/lib/.build-id/3b/e70d1a5cfde1d808b64924ebcd2cdda18c4b9427.00 B
/usr/lib/.build-id/890.00 B
/usr/lib/.build-id/89/714f95fab067f2542e58a483a37de189e50cb427.00 B
/usr/lib/.build-id/dc0.00 B
/usr/lib/.build-id/dc/1912885808c69296d336c66424bbcde0fe0b9129.00 B
/usr/lib64/trellis0.00 B
/usr/lib64/trellis/libtrellis.so927.44 KB
/usr/share/doc/trellis0.00 B
/usr/share/doc/trellis/ProjectTrellis.pdf209.88 KB
/usr/share/doc/trellis/README.md7.44 KB
/usr/share/doc/trellis/examples0.00 B
/usr/share/doc/trellis/examples/README.md1.76 KB
/usr/share/doc/trellis/examples/ecp5_evn0.00 B
/usr/share/doc/trellis/examples/ecp5_evn/Makefile485.00 B
/usr/share/doc/trellis/examples/ecp5_evn/README.md159.00 B
/usr/share/doc/trellis/examples/ecp5_evn/blinky.v1.22 KB
/usr/share/doc/trellis/examples/ecp5_evn/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot0.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/Makefile670.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky1.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky2.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga0.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/Makefile904.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc.v3.21 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc_tb.v385.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/firmware.s87.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/io_wrapper.v387.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/picorv32.v90.26 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s0.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/Makefile869.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc.v3.22 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/firmware.s543.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/io_wrapper.v1.28 KB
Component of
1 through 1 of 1
Buildroot descending sort Created State
f38-build-728288-101015 2023-07-03 06:45:21 expired