Fri, 17 May 2024 11:28:30 UTC | login

Information for RPM trellis-1.2.1-23.20231006git36c615d.fc40.riscv64.rpm

ID1107909
Nametrellis
Version1.2.1
Release23.20231006git36c615d.fc40
Epoch
Archriscv64
SummaryLattice ECP5 FPGA bitstream creation/analysis/programming tools
DescriptionProject Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis provides the device database and tools for bitstream creation.
Build Time2023-11-25 16:27:22 GMT
Size772.26 KB
ee7cf474199c471683203d0bc3b582ef
LicenseISC
Buildrootf40-build-747822-113468
Provides
libtrellis.so()(64bit)
trellis = 1.2.1-23.20231006git36c615d.fc40
trellis(riscv-64) = 1.2.1-23.20231006git36c615d.fc40
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libboost_filesystem.so.1.78.0()(64bit)
libboost_program_options.so.1.78.0()(64bit)
libboost_thread.so.1.78.0()(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libc.so.6(GLIBC_2.32)(64bit)
libc.so.6(GLIBC_2.34)(64bit)
libc.so.6(GLIBC_2.38)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.14)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.29)(64bit)
libstdc++.so.6(GLIBCXX_3.4.30)(64bit)
libstdc++.so.6(GLIBCXX_3.4.32)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
libtrellis.so()(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
trellis-data = 1.2.1-23.20231006git36c615d.fc40
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 154 >>>
Name ascending sort Size
/usr/bin/ecpbram127.63 KB
/usr/bin/ecpmulti131.96 KB
/usr/bin/ecppack140.12 KB
/usr/bin/ecppll111.20 KB
/usr/bin/ecpunpack86.03 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/1d0.00 B
/usr/lib/.build-id/1d/78c2581b11f2b512ebc0337bd96bd4a1aed3e043.00 B
/usr/lib/.build-id/520.00 B
/usr/lib/.build-id/52/3953fe1a1a37ce186a6f6b0ef7ff8dce32df5827.00 B
/usr/lib/.build-id/670.00 B
/usr/lib/.build-id/67/fe6bb3a556a025770c0749ca4c0926467ed21228.00 B
/usr/lib/.build-id/920.00 B
/usr/lib/.build-id/92/6c6bd0fe43cbc571932c8e9dbf9c347dde069d27.00 B
/usr/lib/.build-id/9e0.00 B
/usr/lib/.build-id/9e/614f649a54f5184add675327129fe7606a635329.00 B
/usr/lib/.build-id/b80.00 B
/usr/lib/.build-id/b8/c2bccf76ac9e501f9b9ad611171f60e649bac826.00 B
/usr/lib64/trellis0.00 B
/usr/lib64/trellis/libtrellis.so895.23 KB
/usr/share/doc/trellis0.00 B
/usr/share/doc/trellis/ProjectTrellis.pdf210.26 KB
/usr/share/doc/trellis/README.md7.44 KB
/usr/share/doc/trellis/examples0.00 B
/usr/share/doc/trellis/examples/README.md1.76 KB
/usr/share/doc/trellis/examples/ecp5_evn0.00 B
/usr/share/doc/trellis/examples/ecp5_evn/Makefile485.00 B
/usr/share/doc/trellis/examples/ecp5_evn/README.md159.00 B
/usr/share/doc/trellis/examples/ecp5_evn/blinky.v1.22 KB
/usr/share/doc/trellis/examples/ecp5_evn/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot0.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/Makefile670.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky1.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky2.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga0.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/Makefile904.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc.v3.21 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc_tb.v385.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/firmware.s87.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/io_wrapper.v387.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/picorv32.v90.26 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s0.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/Makefile869.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc.v3.22 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/firmware.s543.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/io_wrapper.v1.28 KB
Component of
1 through 1 of 1
Buildroot descending sort Created State
f40-build-754143-117559 2023-12-04 21:09:49 expired