Fri, 17 May 2024 11:47:30 UTC | login

Information for RPM trellis-1.0-0.3.20190327gitf1b1b35.fc31.riscv64.rpm

ID441434
Nametrellis
Version1.0
Release0.3.20190327gitf1b1b35.fc31
Epoch
Archriscv64
SummaryLattice ECP5 FPGA bitstream creation/analysis/programming tools
DescriptionProject Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis provides the device database and tools for bitstream creation.
Build Time2019-08-03 17:46:28 GMT
Size574.25 KB
3ba7b625373b6f4169a1c34c453bb143
LicenseISC
Buildrootf31-build-70613-30496
Provides
libtrellis.so()(64bit)
trellis = 1.0-0.3.20190327gitf1b1b35.fc31
trellis(riscv-64) = 1.0-0.3.20190327gitf1b1b35.fc31
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
libboost_filesystem.so.1.69.0()(64bit)
libboost_program_options.so.1.69.0()(64bit)
libboost_python37.so.1.69.0()(64bit)
libboost_thread.so.1.69.0()(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libpthread.so.0()(64bit)
libpthread.so.0(GLIBC_2.27)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.14)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
libtrellis.so()(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
trellis-data = 1.0-0.3.20190327gitf1b1b35.fc31
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 133 >>>
Name ascending sort Size
/usr/bin/ecpmulti155.32 KB
/usr/bin/ecppack138.91 KB
/usr/bin/ecppll131.02 KB
/usr/bin/ecpunpack61.28 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/250.00 B
/usr/lib/.build-id/25/d4ce7784c48bc887c8015c8733ba232443163b26.00 B
/usr/lib/.build-id/320.00 B
/usr/lib/.build-id/32/84b3926126eec327ea3fa7735d3f1e70b5cebe43.00 B
/usr/lib/.build-id/5b0.00 B
/usr/lib/.build-id/5b/76e9a4e3639407e7c1827f53518c719e3bf4d129.00 B
/usr/lib/.build-id/790.00 B
/usr/lib/.build-id/79/8b2d864980416addc1545c829b58f883be4bcc28.00 B
/usr/lib/.build-id/be0.00 B
/usr/lib/.build-id/be/41e299ed135913a666e959381b98e7560dd6ab27.00 B
/usr/lib64/trellis0.00 B
/usr/lib64/trellis/libtrellis.so707.85 KB
/usr/share/doc/trellis0.00 B
/usr/share/doc/trellis/ProjectTrellis.pdf147.21 KB
/usr/share/doc/trellis/README.md7.28 KB
/usr/share/doc/trellis/examples0.00 B
/usr/share/doc/trellis/examples/README.md1.76 KB
/usr/share/doc/trellis/examples/ecp5_evn0.00 B
/usr/share/doc/trellis/examples/ecp5_evn/Makefile471.00 B
/usr/share/doc/trellis/examples/ecp5_evn/README.md159.00 B
/usr/share/doc/trellis/examples/ecp5_evn/blinky.v1.22 KB
/usr/share/doc/trellis/examples/ecp5_evn/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot0.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/Makefile662.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky1.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky2.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga0.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/Makefile818.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc.v3.21 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc_tb.v385.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/firmware.s87.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/io_wrapper.v387.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/picorv32.v90.25 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s0.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/Makefile767.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc.v3.21 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/firmware.s543.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/io_wrapper.v1.28 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/picorv32.v90.25 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_versa5g0.00 B
Component of
1 through 1 of 1
Buildroot descending sort Created State
f31-build-72276-30800 2019-08-07 22:09:05 expired