Mon, 17 Jun 2024 01:26:37 UTC | login

Information for RPM verilator-4.028-1.fc33.riscv64.rpm

ID569910
Nameverilator
Version4.028
Release1.fc33
Epoch
Archriscv64
SummaryA fast simulator for synthesizable Verilog
DescriptionVerilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog, plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to create executable models of CPUs for embedded software design teams.
Build Time2020-03-28 09:09:07 GMT
Size4.17 MB
877a0064e1c97aaca12b71b691a34332
LicenseLGPLv3 or Artistic 2.0
Buildrootf33-build-134800-41615
Provides
pkgconfig(verilator) = 4.028
verilator = 4.028-1.fc33
verilator(riscv-64) = 4.028-1.fc33
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
/usr/bin/perl
/usr/bin/pkg-config
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libm.so.6()(64bit)
libm.so.6(GLIBC_2.27)(64bit)
libpthread.so.0()(64bit)
libpthread.so.0(GLIBC_2.27)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.17)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
perl(:VERSION) >= 5.5.0
perl(:VERSION) >= 5.6.0
perl(Cwd)
perl(FindBin)
perl(Getopt::Long)
perl(IO::File)
perl(Pod::Usage)
perl(strict)
perl(vars)
perl(warnings)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 124 >>>
Name ascending sort Size
/usr/bin/verilator198.01 KB
/usr/bin/verilator_bin5.86 MB
/usr/bin/verilator_bin_dbg7.57 MB
/usr/bin/verilator_coverage8.49 KB
/usr/bin/verilator_coverage_bin_dbg174.41 KB
/usr/bin/verilator_gantt17.80 KB
/usr/bin/verilator_profcfunc7.45 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/250.00 B
/usr/lib/.build-id/25/85f08988ff2eaec402898c9197ad2a16c4526a33.00 B
/usr/lib/.build-id/2c0.00 B
/usr/lib/.build-id/2c/001b0597668ee85ba4cdf85c3c8b31fe278edb37.00 B
/usr/lib/.build-id/600.00 B
/usr/lib/.build-id/60/f085a1d3b4ff2df14050726412e4d7fa20fdc846.00 B
/usr/lib64/pkgconfig/verilator.pc289.00 B
/usr/share/doc/verilator0.00 B
/usr/share/doc/verilator/Changes104.14 KB
/usr/share/doc/verilator/README.adoc6.76 KB
/usr/share/doc/verilator/examples0.00 B
/usr/share/doc/verilator/examples/cmake_hello_c0.00 B
/usr/share/doc/verilator/examples/cmake_hello_c/CMakeLists.txt1.25 KB
/usr/share/doc/verilator/examples/cmake_hello_c/Makefile2.12 KB
/usr/share/doc/verilator/examples/cmake_hello_sc0.00 B
/usr/share/doc/verilator/examples/cmake_hello_sc/CMakeLists.txt1.48 KB
/usr/share/doc/verilator/examples/cmake_hello_sc/Makefile3.83 KB
/usr/share/doc/verilator/examples/cmake_protect_lib0.00 B
/usr/share/doc/verilator/examples/cmake_protect_lib/CMakeLists.txt2.40 KB
/usr/share/doc/verilator/examples/cmake_protect_lib/Makefile2.12 KB
/usr/share/doc/verilator/examples/cmake_tracing_c0.00 B
/usr/share/doc/verilator/examples/cmake_tracing_c/CMakeLists.txt1.35 KB
/usr/share/doc/verilator/examples/cmake_tracing_c/Makefile2.32 KB
/usr/share/doc/verilator/examples/cmake_tracing_sc0.00 B
/usr/share/doc/verilator/examples/cmake_tracing_sc/CMakeLists.txt1.58 KB
/usr/share/doc/verilator/examples/cmake_tracing_sc/Makefile3.86 KB
/usr/share/doc/verilator/examples/make_hello_c0.00 B
/usr/share/doc/verilator/examples/make_hello_c/Makefile1.95 KB
/usr/share/doc/verilator/examples/make_hello_c/sim_main.cpp1.06 KB
/usr/share/doc/verilator/examples/make_hello_c/top.v323.00 B
/usr/share/doc/verilator/examples/make_hello_sc0.00 B
/usr/share/doc/verilator/examples/make_hello_sc/Makefile2.27 KB
/usr/share/doc/verilator/examples/make_hello_sc/sc_main.cpp1.26 KB
/usr/share/doc/verilator/examples/make_hello_sc/top.v323.00 B
/usr/share/doc/verilator/examples/make_protect_lib0.00 B
/usr/share/doc/verilator/examples/make_protect_lib/Makefile3.43 KB
/usr/share/doc/verilator/examples/make_protect_lib/secret_impl.v684.00 B
/usr/share/doc/verilator/examples/make_protect_lib/sim_main.cpp1.64 KB
/usr/share/doc/verilator/examples/make_protect_lib/top.v824.00 B
/usr/share/doc/verilator/examples/make_tracing_c0.00 B
/usr/share/doc/verilator/examples/make_tracing_c/Makefile3.00 KB
/usr/share/doc/verilator/examples/make_tracing_c/Makefile_obj1.95 KB
Component of No Buildroots