Sun, 19 May 2024 07:57:22 UTC | login

Information for RPM trellis-1.2.1-11.20221006git5e51529.fc37.riscv64.rpm

ID889989
Nametrellis
Version1.2.1
Release11.20221006git5e51529.fc37
Epoch
Archriscv64
SummaryLattice ECP5 FPGA bitstream creation/analysis/programming tools
DescriptionProject Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis provides the device database and tools for bitstream creation.
Build Time2022-11-14 07:53:46 GMT
Size727.72 KB
77686e6c9ce77893cf7d335fe0552d29
LicenseISC
Buildrootf37-build-676207-76061
Provides
libtrellis.so()(64bit)
trellis = 1.2.1-11.20221006git5e51529.fc37
trellis(riscv-64) = 1.2.1-11.20221006git5e51529.fc37
Obsoletes No Obsoletes
Conflicts No Conflicts
Requires
ld-linux-riscv64-lp64d.so.1()(64bit)
ld-linux-riscv64-lp64d.so.1(GLIBC_2.27)(64bit)
libboost_filesystem.so.1.78.0()(64bit)
libboost_program_options.so.1.78.0()(64bit)
libboost_thread.so.1.78.0()(64bit)
libc.so.6()(64bit)
libc.so.6(GLIBC_2.27)(64bit)
libc.so.6(GLIBC_2.32)(64bit)
libc.so.6(GLIBC_2.34)(64bit)
libgcc_s.so.1()(64bit)
libgcc_s.so.1(GCC_3.0)(64bit)
libgcc_s.so.1(GCC_3.4)(64bit)
libstdc++.so.6()(64bit)
libstdc++.so.6(CXXABI_1.3)(64bit)
libstdc++.so.6(CXXABI_1.3.5)(64bit)
libstdc++.so.6(CXXABI_1.3.9)(64bit)
libstdc++.so.6(GLIBCXX_3.4)(64bit)
libstdc++.so.6(GLIBCXX_3.4.11)(64bit)
libstdc++.so.6(GLIBCXX_3.4.14)(64bit)
libstdc++.so.6(GLIBCXX_3.4.15)(64bit)
libstdc++.so.6(GLIBCXX_3.4.18)(64bit)
libstdc++.so.6(GLIBCXX_3.4.20)(64bit)
libstdc++.so.6(GLIBCXX_3.4.21)(64bit)
libstdc++.so.6(GLIBCXX_3.4.26)(64bit)
libstdc++.so.6(GLIBCXX_3.4.29)(64bit)
libstdc++.so.6(GLIBCXX_3.4.30)(64bit)
libstdc++.so.6(GLIBCXX_3.4.9)(64bit)
libtrellis.so()(64bit)
rpmlib(CompressedFileNames) <= 3.0.4-1
rpmlib(FileDigests) <= 4.6.0-1
rpmlib(PayloadFilesHavePrefix) <= 4.0-1
rpmlib(PayloadIsZstd) <= 5.4.18-1
rtld(GNU_HASH)
trellis-data = 1.2.1-11.20221006git5e51529.fc37
Recommends No Recommends
Suggests No Suggests
Supplements No Supplements
Enhances No Enhances
Files
Page:
1 through 50 of 151 >>>
Name ascending sort Size
/usr/bin/ecpbram124.01 KB
/usr/bin/ecpmulti128.41 KB
/usr/bin/ecppack140.52 KB
/usr/bin/ecppll111.65 KB
/usr/bin/ecpunpack82.50 KB
/usr/lib/.build-id0.00 B
/usr/lib/.build-id/330.00 B
/usr/lib/.build-id/33/072c9eb44a872f91195368da60b2f402f55d8728.00 B
/usr/lib/.build-id/3e0.00 B
/usr/lib/.build-id/3e/a45311eae6fe3f36b5e7e54597702469568fe329.00 B
/usr/lib/.build-id/a00.00 B
/usr/lib/.build-id/a0/15f749f81d06d0c4c311044a7ef4e9429aee9f27.00 B
/usr/lib/.build-id/af0.00 B
/usr/lib/.build-id/af/c508a85f66f6eb08e2d5fdb4eee2b8acd676ea27.00 B
/usr/lib/.build-id/bd0.00 B
/usr/lib/.build-id/bd/4b49f5e21f8b1573efc6a5b2a336ed766c4d7526.00 B
/usr/lib/.build-id/dc0.00 B
/usr/lib/.build-id/dc/9a1dcc188b775df7609d4374dfab35728c20d643.00 B
/usr/lib64/trellis0.00 B
/usr/lib64/trellis/libtrellis.so814.71 KB
/usr/share/doc/trellis0.00 B
/usr/share/doc/trellis/ProjectTrellis.pdf209.26 KB
/usr/share/doc/trellis/README.md7.44 KB
/usr/share/doc/trellis/examples0.00 B
/usr/share/doc/trellis/examples/README.md1.76 KB
/usr/share/doc/trellis/examples/ecp5_evn0.00 B
/usr/share/doc/trellis/examples/ecp5_evn/Makefile485.00 B
/usr/share/doc/trellis/examples/ecp5_evn/README.md159.00 B
/usr/share/doc/trellis/examples/ecp5_evn/blinky.v1.22 KB
/usr/share/doc/trellis/examples/ecp5_evn/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot0.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/Makefile670.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/README.md671.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky1.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/blinky2.v213.00 B
/usr/share/doc/trellis/examples/ecp5_evn_multiboot/ecp5evn.lpf700.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga0.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/Makefile904.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc.v3.21 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/attosoc_tb.v385.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/firmware.s87.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/io_wrapper.v387.00 B
/usr/share/doc/trellis/examples/picorv32_tinyfpga/picorv32.v90.26 KB
/usr/share/doc/trellis/examples/picorv32_tinyfpga/sections.lds549.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s0.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/Makefile869.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc.v3.22 KB
/usr/share/doc/trellis/examples/picorv32_ulx3s/attosoc_tb.v391.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/firmware.s543.00 B
/usr/share/doc/trellis/examples/picorv32_ulx3s/io_wrapper.v1.28 KB
Component of
1 through 1 of 1
Buildroot descending sort Created State
f37-build-678977-76479 2022-11-16 11:12:30 expired